案例介绍

8位串并转换实验

作者[Author]:nozlurne 验证[Verified]:No 浏览次数 [Views]:6235
字体大小 [Fonts]: 14px 16px 18px

概要[Abstract]

在同步时钟下,实现8位串行数据转换成并行数据,首先要掌握串并转换原理,并根据原理设计8位串并转换模块以及设计test_bench,最后在Robei可视化仿真软件进行功能实现和仿真验证。

近似设计 [Similar] 更多 [More]

 
Copyright © Robei | | 鲁ICP备14018662号 |