案例介绍

PID算法的Verilog实现模块

作者[Author]:chen1111 验证[Verified]:FPGA 浏览次数 [Views]:289
字体大小 [Fonts]: 14px 16px 18px

概要[Abstract]PID(proportion integration differentiation)是指比例,积分,微分控制。PID控制是应用非常广泛的控制算法,小到控制元件的温度,大到控制无人机的飞行姿态和飞行速度等等,都可以使用PID控制。在竞赛中,就算Verilog代码描述某几个的电机速度为一致,实际物理硬件在运行时也不可能达到速度完全一致,随着时间的累计,基于电机运动的小车或其他设备运行轨迹便会产生较大的偏差,这样的偏差对于某些定点停车或者循迹的项目来说是致命的。因此,pid控制在竞赛中很常用。

实现功能: 此模块实现增量式PID的Verilog实现 仿真: 此处将期望值设为500,假设当前传感器采集到的系统输出为490。比例系数、积分系数、微分系数分别设置为5、1、2。
近似设计 [Similar] 更多 [More]

 
Copyright © Robei | | 鲁ICP备14018662号 |