案例介绍

4×4矩阵键盘扫描及消抖模块

作者[Author]:chen1111 验证[Verified]:FPGA 浏览次数 [Views]:114
字体大小 [Fonts]: 14px 16px 18px

概要[Abstract]矩阵键盘相较于传统的拨码开关能为用户提供更多的输入,比较适合需要有多个用户指令输入的学生项目。4×4矩阵键盘为一般机械结构,当用户按下按钮时,其不可避免地会产生电平抖动,因此在矩阵键盘的使用中,消抖操作是重点。

实现功能: 此模块实现4×4矩阵键盘的扫描及消抖操作,最终将用户按下的按钮信息通过16bit的独热码输出。输出的独热码可以通过转码(16bit位宽较长,可以根据需要缩短,也可不做处理)或直接作为指令对其他模块进行控制。 案例说明文档完整版可下载下方压缩包查看。
近似设计 [Similar] 更多 [More]

 
Copyright © Robei | | 鲁ICP备14018662号 |